From 09e7cac187b8bcf53566cc0b5848bf7c5a42dfbe Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?C=C3=B4me=20ALLART?= Date: Wed, 16 Nov 2022 21:16:23 +0100 Subject: [PATCH] restore fancy formatting in io --- src/main/scala/mylib/MyTopLevel.scala | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/src/main/scala/mylib/MyTopLevel.scala b/src/main/scala/mylib/MyTopLevel.scala index 19a8f22..a1c3a71 100644 --- a/src/main/scala/mylib/MyTopLevel.scala +++ b/src/main/scala/mylib/MyTopLevel.scala @@ -5,10 +5,10 @@ import spinal.core._ // Hardware definition class MyTopLevel extends Component { val io = new Bundle { - val cond0 = in Bool () - val cond1 = in Bool () - val flag = out Bool () - val state = out UInt (8 bits) + val cond0 = in Bool() + val cond1 = in Bool() + val flag = out Bool() + val state = out UInt(8 bits) } val counter = Reg(UInt(8 bits)) init 0